Содержание
- 2. Пример 1. Проверка на четность library ieee; use ieee.std_logic_1164.all; entity parity_check is port( a: in std_logic_vector(7
- 3. Пример 2. Проверка на четность (if) architecture cond_arch of parity_check is begin process(a) begin If (a(0)
- 4. Пример 3. Делимость на 4 architecture cond_arch of check_4 is begin process(a) begin If ((a(0) =
- 5. Пример 4. Делимость на 4 без and architecture cond_arch of check_4 is begin process(a) begin If
- 6. Пример 5. Дектор кодов 0101 – 11; 1010 – 10; 11111 – 01; x - 00
- 8. Скачать презентацию