Логическое проектирование комбинационных устройств на основе ПЛИС фирмы Xilinx

Содержание

Слайд 6

1 вариант схемы

1 вариант схемы

Слайд 9

2 вариант схемы

2 вариант схемы

Слайд 11

3 вариант схемы – описание на языке VHDL

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity

3 вариант схемы – описание на языке VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL;
ADD is
Port(A: in std_logic_vector(3 downto 0);
B: in std_logic_vector(3 downto 0);
S: out std_logic_vector(3 downto 0);
CI: in std_logic;
CO: out std_logic);
end ADD;
architecture Behavioral of ADD is
signal sum: std_logic_vector(4 downto 0);
begin
sum <= ('0'&A) + ('0'&B) + CI;
S <= sum(3 downto 0) when sum < "01010" else (sum - "01010");
CO <= '0' when sum < "01010" else '1';
end Behavioral;

Слайд 13

Сравнение затрат ресурсов ПЛИС

Сравнение затрат ресурсов ПЛИС

Слайд 14

Можно получить несколько подобных таблиц: для разных семейств ПЛИС: - CPLD xc95288xl; -

Можно получить несколько подобных таблиц: для разных семейств ПЛИС: - CPLD xc95288xl;
CPLD CoolRunner; - FPGA Spartan3; - для различных настроек программы трассировки

Слайд 15

САПР Decomposer

САПР Decomposer

Слайд 16

Возможности САПР Decomposer

Задание проектируемой схемы в виде числовой (логической) последовательности
Проведение параллельной и последовательной

Возможности САПР Decomposer Задание проектируемой схемы в виде числовой (логической) последовательности Проведение
декомпозиции
Детализация схемы до уровня двухвходовых блоков
Анализ полученной схемы на соответствие исходному описанию
Трансляция схемы в описание на языкеVHDL

Слайд 17

Главное окно приложения

Исходное описание

Результат декомпозиции

Главное окно приложения Исходное описание Результат декомпозиции

Слайд 23

Параллельная декомпозиция

Параллельная декомпозиция

Слайд 24

Последовательная декомпозиция

Последовательная декомпозиция

Слайд 26

1 вариант схемы

1 вариант схемы

Слайд 27

Анализ схемы

Анализ схемы

Слайд 28

Детализация схемы и покрытие заданными логическими элементами

Детализация схемы и покрытие заданными логическими элементами

Слайд 31

2 вариант схемы: на основе стандартного четырехразрядного сумматора и входных/выходных кодопреобразователей

2 вариант схемы: на основе стандартного четырехразрядного сумматора и входных/выходных кодопреобразователей

Слайд 32

Числовая последовательность входного кодопреобразователя: 0123 4567 ***8 9***

Числовая последовательность входного кодопреобразователя: 0123 4567 ***8 9***

Слайд 33

Числовая последовательность выходного кодопреобразователя: 00 01 02 03 04 05 06 07 0B 0C

Числовая последовательность выходного кодопреобразователя: 00 01 02 03 04 05 06 07
10 11 12 13 14 15 16 17 1B 1C ** ** ** ** ** ** ** ** ** ** ** **

Слайд 35

Описание на VHDL

Описание на VHDL

Слайд 36

Типовые ошибки в КР: - нарушение логической структуры изложения материала в пояснительной записке

Типовые ошибки в КР: - нарушение логической структуры изложения материала в пояснительной
КР («электронный компонент ПЛИС»); - несоблюдение требований ГОСТ по оформлению КР; - «некорректные» названия блоков и схем; - покрытие простых блоков «сложными» элементами (мультиплексорами 16/1 и т.п.);

Слайд 51

Правильные схемы

Правильные схемы
Имя файла: Логическое-проектирование-комбинационных-устройств-на-основе-ПЛИС-фирмы-Xilinx.pptx
Количество просмотров: 30
Количество скачиваний: 0